domingo, 27 de junio de 2010

Chip de ADN ¿La panacea?

¿Qué pensarías si anunciáramos un chip basado en ADN que fuera mucho más rápido, más barato, con menos consumo de energía y más sencillo de fabricar que los de silicio? Toda una ganga para el mundo de la informática que puede estar en breve ocupando los ordenadores de la nueva era. En dura pugna con los chips de grafeno, los de ADN pueden ser la solución al siglo 21.
Que la era del silicio está llegando a su fin no lo duda nadie. Las alternativas bullen por todos lados. Los científicos investigan multitud de campos para superar las limitaciones de este mágico elemento que hasta ahora nos ha ayudado mucho para alcanzar una impresionante etapa de nuestra civilización. Pero la humanidad necesita más y más velocidad, más capacidad de proceso de datos, más información. Los chips de silicio tienen fecha de caducidad en cuanto a sus posibilidades, pero nosotros no podemos detener nuestra voracidad de información. Algunos expertos han escogido la vía del grafeno, ese material maravilloso que parece poseer todos los dones de Dios. Otros se centran en diseñar chips basados en ADN, esa otra molécula divina que ha permitido la vida.


Es el caso de Chris Dwyer, ingeniero de la Universidad de Duke que ha diseñado un chip basado en ADN que puede convertirse en la próxima revolución de la tecnología informática. Este hombre no es cualquiera. Ha recibido el premio PECASE 2009, destinado a los jóvenes talentos de la investigación que merecen una atención especial por la relevancia de sus experimentos y aportaciones a la ciencia. También ha sido premiado por DARPA en 2009 y el premio ARO en 2008. Este profesor adjunto de Ingeniería Eléctrica y Computación de la Pratt School de Duke, asegura que su invento supera con creces las limitaciones que poseen los chips de silicio y que en poco tiempo están llamados a sustituirlos como corazón de los sistemas informáticos del futuro.


Basa el funcionamiento del chip combinando fragmentos específicos de ADN con otras moléculas específicas, para que utilizando las instrucciones codificadas en el ADN este "ensamblador" fabrique trazos de circuitos concretos. Luego, para crear otros patrones diferentes, simplemente se utilizan diferentes "programas" de ADN y, al combinar todos estos programas, es posible crear cualquier patrón imaginable. Lo mejor de todo es que estos chips no necesitan electricidad para comunicarse, sino luz. Gracias a unas estructuras que se forman llamadas cromóforos, la computación por medios ópticos será la encargada de impulsar los millones de datos que circularan por sus circuitos y aumentar la velocidad de procesamiento a un nivel jamás visto por el silicio. Por si fuera poco, estos chips tienen la capacidad de auto-ensamblarse, con lo que sus posibilidades de uso resultan prácticamente ilimitadas.


Pero eso no es todo. Para rematar la faena, resulta que estos chips son infinitamente más baratos que los de silicio y, sobre todo, son tan sencillos de fabricar que una persona es capaz de fabricar en un solo día más chips que toda la industria de semiconductores del mundo durante un mes entero, lo que significa que el costo de fabricar estos procesadores súper avanzados se aproximará casi a cero. Realmente increíble. Cuando esto llegue al mercado, no podemos ni imaginar que avances nos traerá semejante poderío informático. En pocos años, dispondremos de unos chips velocísimos a un coste muy reducido y a tamaño infinitesimal. Toda una promesa de futuro que nos acercará a la singularidad de Kurzweil antes de lo que pensamos.

Nuevo nanoláser permitiría CPU de 100 THz

Nuevo nanoláser permitiría CPU de 100 THz

Un equipo de científicos de la Cornell University y la Purdue University ha puesto a punto un dispositivo capaz de generar luz láser que utiliza plasmones en lugar de fotones. Esta partícula, de sólo 44 nanómetros de longitud, ha posibilitado la creación del láser que abre las puertas para la fabricación de microprocesadores capaces de funcionar a 100 THz, unas 20.000 veces más rápido que los actuales. Sin dudas, éste es uno de los avances más importantes de los últimos tiempos.

Siendo estrictos, no se trata de un láser (Light Amplification by Stimulated Emission of Radiation), sino de un pariente cercano llamado spaser (surface plasmon amplification by stimulated emission of radiation) que, en lugar de fotones, utiliza plasmones. Los plasmones son unas partículas que solo tienen 44 nanómetro de longitud y, como se encarga de aclarar Mark Stockman, profesor de física de Georgia State, “el spaser trabaja unas mil veces más rápido que el transistor más rápido existente, con un tamaño similar. Esto abre la posibilidad de construir amplificadores ultrarrápidos, elementos lógicos y microprocesadores que pueden funcionar miles de veces más rápidos que los microprocesadores convencionales basados en silicio.” Este método trata la luz de forma diferente a las tradicionales CPU ópticas, que son “difíciles de reducir de tamaño porque no puedes contener fotones en áreas más pequeñas que la mitad de la longitud de onda asociada”. Esta tecnología es la piedra fundamental en la que se basarán microprocesadores capaces de funcionar a 100 THz.


El tamaño de un láser convencional está dictado por la longitud de onda que utiliza. La distancia entre las superficies reflectivas implicadas no puede (por obvias razones) ser menor que la mitad de la longitud de onda de la luz utilizada, que en el caso del espectro es de alrededor de 200 nanómetros. “Los spasers evitan estas limitaciones mediante el uso de plasmones,” dice Noginov. En el futuro, los spasers tendrán tamaños más pequeños, quizás de solo un nanómetro. “Difícilmente se puedan hacer más pequeños que eso,” explica Noginov, “porque se podría romper la funcionalidad de las nanopartículas en el dispositivo.”

Mientras que los equipos electrónicos actuales de uso masivo más veloces operan a velocidades de alrededor de 10 Gigahertz, Mikhail Noginov, un físico del Center for Materials Research de la Norfolk State University (Virginia), explica que los dispositivos ópticos pueden operar a cientos de Terahertz. Lamentablemente, hasta la fecha los dispositivos ópticos han sido muy dificiles de miniaturizar porque su tamaño depende de la longitud de onda de los fotones utilizados. “Actualmente se está haciendo un gran esfuerzo para diseñar una nueva generación de dispositivos nanoelectrónicos basados en plasmónica”, dice Noginov. A diferencia de otros intentos previos, los spasers son elementos activos capaces de producir y amplificar ondas. Noginov conoce profundamente el tema, ya que es uno de los coautores de este nuevo spaser. Ulrich Wiesner, de la Cornell University y Vladimir Shalaev y Evgenii Narimanov de la Purdue University completan el equipo, cuyo trabajo ha sido publicado en la última edición de la revista Nature.

El reto que Noginov y sus colaboradores deben afrontar es construir un dispositivo que evite que la energía del haz spaser se disipe rápidamente en la superficie del metal. Una de las formas de evitarlo consiste en colocar una capa de sílice incrustada sobre la parte de oro. La “luz” proveniente del spaser permanece confinada como plasmones, o puede -de forma controlada- dejar que salga en forma de fotones en el rango de luz visible. Los “spasers” podrían ser la base de los ordenadores ópticos del futuro, al igual que los transistores son la base de la electrónica de hoy día.

Nanotubos: Supermúsculos para robots

Nanotubos: Supermúsculos para robots

En la Universidad de Texas un grupo de investigadores ha desarrollado prototipos funcionales de músculos artificiales para robots basados en nanotubos de carbono. Si bien no es una idea completamente nueva, nunca antes se había logrado poner a punto un músculo artificial con tanta resistencia y fuerza. El rango de temperaturas a la que pueden operar va de los casi -200 a +1500 grados. En caso de una rebelión robótica necesitaremos mucha suerte para eliminar un cacharro con músculos así.


Los científicos, desde hace tiempo, saben que ciertos materiales pueden contraerse al ser sometidos a una corriente eléctrica. Esto los hace ideales para la construcción de “músculos artificiales” para robots, y de hecho se los ha empleado durante años con ese fin. Sin embargo, el uso de la nanotecnologia parece haberle dado una gran vuelta de tuerca a este asunto. Un artículo, publicado el 20 de marzo en la revista Science por investigadores de la Universidad de Texas describe como un aerogel –un sólido muy liviano y poroso- de nanotubos de carbono puede moverse 1.000 veces más rápido que un músculo humano al ser excitado por una corriente eléctrica. Este increíble material, en el que los nanotubos reemplazan a las fibras musculares que permiten a los seres vivos moverse, solo pesa 1.5 miligramos por milímetro cúbico (una vez y media el peso del aire). Todo parece indicar que estos súper músculos pueden realizar el mismo trabajo que realizan los servomotores o actuadores de un robot convencional, pero proporcionándoles mayor fuerza y velocidad con solo una fracción de su peso. Uno de los escollos más duros de salvar por los constructores de robots es su gran peso, que obliga a utilizar gran parte de la carga de sus baterías solamente para “transportar” el propio peso del cacharro. Este aerogel de ciencia ficción podría terminar con ese problema. El rango de temperaturas al que operan los prototipos de la Universidad de Texas es increíble. Mientras que cualquier chip de silicio o motor eléctrico solo funciona en un rango de temperaturas modesto (digamos desde -20 a +150 grados Celsius), estos músculos soportan temperaturas tan bajas como -196 grados o tan altas como 1538 grados Celsius. Un robot industrial equipado con extremidades capaces de soportar temperaturas así seria la estrella de cualquier fábrica. Para que tengas una idea de lo que soporta este aerogel imagina que el hierro, por ejemplo, se funde alrededor de esa temperatura. Si el cabrón de Terminator hubiese tenido músculos así, quizás no nos hubiésemos librado tan fácil de él. No solo son livianos y resistentes: también son rápidos. Pero rápidos de verdad. Según puede leerse en el artículo de Science, estos súper músculos se expanden hasta un 37.000% en solo un segundo, una cifra que realmente es increíble. Cuando la corriente eléctrica deja de atravesarlos, vuelven a su tamaño normal en solo unos pocos nanosegundos. También son muy resistentes, gracias a la propia estructura molecular de los nanotubos de carbono. Los investigadores comparan la dureza de sus prototipos con la del diamante.

Este material podría servir tanto para reemplazar los sistemas hidráulicos y electromecánicos de los robots industriales como para la fabricación de exoesqueletos que, con un peso de un solo un par de kilogramos, permitan levantar pesos enormes o devuelvan la movilidad a personas con problemas motrices.

Implante de neuronas de silicio, a la vuelta de la esquina

Implante de neuronas de silicio, a la vuelta de la esquina

Ted Berger ha pasado una década diseñando un implante cerebral que pueda guardar recuerdos. El chip podría ayudar a pacientes con Alzheimer, y convertir las perdidas de memoria en algo del pasado.

El uso médico de chips neurales para el tratamiento de desórdenes mentales y problemas de memoria es el objetivo principal del plan de Ted Berger, que ha creado el primer implante de memoria. Ted cree que puede revolucionar el mundo de la medicina.

Un cable delgado une una aguja y un pequeño chip de silicio sobre la mesa de trabajo. Se cierra un interruptor, y una serie de pequeñas ondas danzan en una pantalla. Uno de los científicos explica que el chip está enviando pulsos eléctricos a trozo de cerebro a través de la aguja. Lo que vemos en la pantalla es la respuesta del cerebro a ese estímulo. “Y son idénticas en forma y frecuencia a las enviadas por el chip.”, aclara Srinvasan, uno de los empleados de Berger. “Dicho en otros términos, el chip se esta comunicando como una parte más del cerebro”

El equipo de Berger ha montado esta demostración para mostrar a la prensa una pequeña ventana al futuro de la neurología. El hecho de que un chip sea capaz de “conversar” con células cerebrales es un importantísimo primer paso. Abre la puerta a la posibilidad de implantar maquinaria en el cerebro, capaz de, por ejemplo, mejorar nuestra memoria o brindarnos nuevas habilidades.

El grupo de Berger esta compuesto por profesionales de áreas muy diversas, tales como matemáticos, neurólogos, ingenieros informáticos y bioingenieros de todo el país. El chip que están probando es capaz de simular unas 12.000 neuronas, una pequeñísima parte de las mas de 100 mil millones que tiene un cerebro humano. Pero no está nada mal para ser un prototipo.

“Este es el tipo de ciencia que pude cambiar el mundo”, dice uno de ellos. Y realmente es así.


Espintrónica, la electrónica del futuro

Espintrónica, la electrónica del futuro


Gracias al los experimentos realizados por Ron Jansen, de la Universidad de Twente (Países Bajos), los chips del futuro basarán su funcionamiento en el spin de los electrones en lugar de utilizar su carga eléctrica como lo hacen en la actualidad. El trabajo de este científico ha sentado las bases para la creación de circuitos integrados de consumo masivo, construidos en silicio pero basados en la espintrónica, que serán capaces de funcionar a temperatura ambiente y con un consumo de energía ultra bajo.

Se trata de una palabra nueva, que posiblemente no hayas oído o leído hasta hoy: espintrónica. Sin embargo, este neologismo construido a partir de "espín" y "electrónica" -conocido a veces como "magnetoelectrónica" está destinado a ponerse de moda. En esencia, la espintrónica no es más que una tecnología emergente que posee un enorme potencial en el campo de la electrónica y el almacenamiento y transmisión de datos. Esta nueva forma de "utilizar" los electrones explota tanto su carga como su "spin". Se denomina spin de un electrón a un estado de energía magnética débil que puede tomar solo dos valores: los correspondientes a la mitad del valor de la constante de Planck dividida por dos veces el valor de PI, con signo positivo o negativo. Puede que comprender el concepto de spin resulte bastante engorroso, pero lo concreto es que puede tener solo dos valores perfectamente determinados, algo que a la aritmética binaria le viene como anillo al dedo.



A pesar de ser pocos conocidos, los experimentos relacionados con la espintrónica vienen realizándose desde hace varios años. La empresa IBM, por ejemplo, demostró en 2002 que podía tener un impacto radical en los dispositivos de almacenamiento masivo del futuro. Utilizando esta tecnología lograron almacenar cantidades enormes de datos en un área diminuta, alcanzando densidades del orden de los 155.000 millones de bits por centímetro cuadrado. Obviamente, falta aún bastante tiempo para que un dispositivo así llegue a las tiendas, pero sirve perfectamente como muestra de qué puede hacer por nosotros esta nueva rama de la ciencia.

Uno de los problemas que sin duda retrasa la utilización de la espintrónica en los chips de los ordenadores o gadgets es que -hasta ahora- no funcionaba demasiado bien sin un costoso, caro y enorme sistema de enfriamiento. Sin embargo, el trabajo realizado por Jon Jansen, de la Universidad de Twente en los Países Bajos, parece que finalmente permitirá a la próxima generación de ordenadores basar su funcionamiento en el spin de los electrones en lugar de utilizar su carga eléctrica. En lugar de codificar los ceros y unos del sistema binario como ausencia o presencia de una diferencia de potencial eléctrico, se utilizarán el sentido de estos "giros" como forma de representar valores binarios. Jansen ha logrado utilizar el spin de los electrones en el silicio a temperatura ambiente por primera vez.

Una de las principales ventajas que tiene este sistema frente a los circuitos electrónicos convencionales es que necesitan de mucha menos energía para funcionar. Ocurre que la "electrónica normal" es el campo eléctrico el encargado de empujar a los electrones a través del circuito, y este proceso es poco eficiente ya que disipa una gran cantidad de energía en forma de calor. Por el contrario, el spin de los electrones puede manipularse mediante un campo magnético que no posee prácticamente perdidas en forma de calor. Los expertos aseguran que usando este sistema se consumiría mucha menos energía y se disiparía menor calor. La idea es alcanzar un control sobre el spin de los electrones similar al que se tiene actualmente sobre la carga de estas partículas. Los experimentos realizados hasta ahora sólo habían tenido éxito utilizando como base materiales semiconductores exóticos -como el arseniuro de galio- a bajas temperaturas. Pero Jansen, al haber encontrado la forma de hacer esto con silicio (el material que más utiliza la industria electrónica) y a temperatura ambiente, prácticamente garantiza que los dispositivos espintrónicos del futuro podrían fabricarse a escala comercial con relativa facilidad.

Un paso más en el camino del Silicio al Grafeno

Un paso más en el camino del Silicio al Grafeno

Los científicos han hecho un gran avance en la creación de nanocircuitos de grafeno mediante un novedoso procedimiento que consiste en un único y sencillo paso basado en una técnica que se conoce como Nanolitografía Termoquímica (TCNL). Este proceso, que permite la creación de nanocables, manipula las propiedades electrónicas del óxido de grafeno (en una reducción a escala nanométrica) logrando que el material sea capaz de conmutar sus propiedades pasando de ser un material aislante a comportarse como un material conductor y viceversa. El grafeno ha sido ampliamente considerado como el candidato más prometedor para sustituir al silicio como elemento fundamental en la futura construcción de transistores.

La técnica funciona con múltiples formas de grafeno y está lista para convertirse en un hallazgo importante para el desarrollo y la aplicación del grafeno dentro de la industria electrónica. Los científicos que trabajan con nanocircuitos están entusiasmados porque los electrones de grafeno encuentran menos resistencia cuando se desplazan por este material en comparación con los semiconductores de silicio. Esta enorme ventaja se suma a que por estos días las construcciones de silicio son tan pequeñas como las leyes de la física lo permiten, mientras que el grafeno puede alcanzar un espesor ultra delgado ya que puede estar construido mediante una lámina de carbono de un solo átomo de espesor.

Si bien la utilización del grafeno en la nanoelectrónica podría ofrecer mayores velocidades de transmisión de datos con un menor consumo de energía respecto a los semiconductores de silicio, nadie sabía hasta ahora cómo producir nanoestructuras de grafeno sobre un método reproducible o escalable. "Hemos demostrado que aplicando calor controlado (130°C) en áreas concretas de un material aislante como es el óxido de grafeno (tanto los pequeños trozos como en algunas variedades de construcción epitaxial con tamaños ubicados dentro de los niveles atómicos) hemos construido nanocables con dimensiones hasta 12 nanómetros. Incluso podemos ajustar sus propiedades electrónicas hasta hacerlo cuatro veces más conductor. Además, otro detalle alentador es que durante todo el procedimiento no hemos visto ninguna señal de desgaste de las puntas o muestras de desgarro o ruptura en los conductores", dijo Elisa Riedo, profesora adjunta en la Escuela de Física en el Instituto de Tecnología de Georgia.


En estructuras consideradas dentro de una macroescala, la conductividad del óxido de grafeno se puede cambiar logrando una transformación desde un material aislante hacia un material conductor mediante el uso de hornos de gran tamaño. Ahora, el equipo de investigación utilizó TCNL para aumentar la temperatura del óxido de grafeno en sus trabajos a nanoescala, de modo tal que pueden “dibujar” nanocircuitos con grafeno a 130°C, temperatura en que el material se vuelve conductor. "Lo maravilloso y bello de todo esto es que hemos ideado una técnica sencilla, robusta y reproducible que nos permite demostrar el cambio de aislante a conductor en un nanocable. Estas propiedades son el sello de una tecnología productiva", dijo Paul Sheehan, director de la Surface Nanoscience and Sensor Technology Section at the Naval Research Laboratory in Washington, DC.

El equipo de investigación hizo ensayos con dos tipos de óxido de grafeno: uno construido con carburo de silicio y el otro con polvo de grafito."Creo que hay tres cosas acerca de este estudio que hacen que se destaque", dijo William P. King, profesor asociado del departamento de Mecánica y Ciencias de Ingeniería de la Universidad de Illinois en Urbana-Champaign. "En primer lugar, es que todo el proceso ocurre en un solo paso. Transformas óxido de grafeno aislante en un material electrónicamente funcional mediante la simple aplicación de un nano-calentador. En segundo lugar, pensamos que cualquier tipo de grafeno se comporta de esta forma y, en tercer lugar, la escritura, deposición o dibujo es una técnica extremadamente rápida. Estas nanoestructuras pueden ser sintetizadas en una tasa tan alta que el enfoque podría ser muy útil para los ingenieros que deseen estudiar cómo diseñar nanocircuitos en un futuro muy cercano".


"Este proyecto es un excelente ejemplo de las nuevas tecnologías de construcción epitaxial que el grafeno permite explorar en la industria electrónica", dijo Walt de Heer, Regente del Profesorado en la Georgia Tech's School of Physics y el ideólogo del “grafeno epitaxial” en la electrónica. Su estudio llevó a la creación hace dos años atrás del Materials Research Science and Engineering Centre. Además sostiene que “la simple conversión de óxido de grafeno a partir de grafeno estándar es un método importante y rápido para producir cables conductores. Este método puede ser utilizado no sólo para dispositivos electrónicos flexibles, sino que además, en algún momento del futuro, los cables de grafeno podrán adquirir una bio-compatibilidad aceptable y podrían ser utilizados para medir señales eléctricas de células biológicas individuales."

Moore says nanoelectronics face tough challenges

Moore says nanoelectronics face tough challenges


SAN FRANCISCO--Although many believe the future of the computing industry lies with building chips out of carbon nanotubes or other novel materials, Intel co-founder Gordon Moore predicts it won't be easy to replace silicon.

"I will admit to being a skeptic to these things for replacing digital silicon," he told a gathering of reporters here Wednesday, where he also discussed artificial intelligence, Intel's future, and the early days of Silicon Valley. "We've got a cumulative couple of hundred billion dollars invested in R&D."

Although he retired several years ago, Moore will be a very visible figure during the next few months. April 19 will mark the 40th anniversary of an article he wrote for Electronics Magazine that first sketched out the idea of Moore's Law. The observation, which predicts that engineers can double the number of transistors on a chip every 24 months, has been the fundamental principle of the computing industry and paved the way for making computers and cell phones that are cheaper, faster and more powerful.

"It was a chance to look at what happened up to that time," he said of the original article. "I didn't think it would be especially accurate."

While he says he isn't up on the latest technological nuances, his skepticism about novel materials replacing silicon derives from practicality. Modern-day microprocessors contain hundreds of millions of transistors, and soon will have billions, and, to break even, manufacturers have to pop out millions of these complex devices. Although researchers have been able to produce individual nanotube transistors, the ability to mass produce hasn't been shown.

Still, continuing to produce chips on silicon has its problems too. Designers have been able to put more transistors on chips for decades by shrinking the size of the transistors, but they are now at the point where some structures inside chips are only a few atoms thick.

"Any material made of atoms has a fundamental limit," Moore said. The solution? Make the chips bigger. Carbon nanotubes, he added, wouldn't be completely left out. They could be used to replace the metal interconnects between the transistors.

Rereading the article 40 years later yielded some surprises, he admitted. For one thing, he noticed that he predicted home computers.

"I also talked about electronic watches. Unfortunately, Intel tried that once," he laughed, referring to the company's failed foray into wristwatches years ago.

Moore also made it clear that computer scientist Carver Mead dubbed the observation Moore's Law, a lofty label that took him about 20 years to get used to.

Among other topics Moore discussed:

• He gave his approval to Intel's approach to building platforms, rather than individual chips. "The recent reorganization of Intel is to an extent a reflection of how (incoming CEO) Paul Otellini wants to work in the future. I think it is a very appropriate change," he said. "Paul is different in that he is the first CEO of Intel that isn't a Ph.D. or scientist, but he is more technical than I am at this stage in the game."

• William Shockley, who invented the transistor, helped foster the Silicon Valley by driving Moore, Intel co-founder Robert Noyce, Eugene Kleiner and the rest of the "traitorous eight" up the wall at Shockley Semiconductor.

"He was a brilliant physicist, but he had very peculiar ideas about working with people," he said. "We got along reasonably well because I was a chemist, so he didn't feel that he had to know everything I did."

The eight engineers went to the company's financial backers to take Shockley out of active management. At the last minute, the backers refused. Kleiner's father knew an investment banker named Arthur Rock, who then helped form Fairchild Semiconductor.

"Fairchild was developing technology faster than it could be exploited," Moore recalled. They also were mired in a management mess, so Moore and Noyce left to found Intel while others went on to start other companies.

• Computers, as they are built now, will never think like humans. "Human intelligence in my view is something that is done in a dramatically different fashion than Von Neumann computers," he said. The brain processes "in a highly parallel and relatively sloppy" fashion, but one well-suited for its purpose.

• China is going to be a major fact of life for the United States. "The impact of China is just beginning to be felt. China is producing 10 times as many engineers as we are," he said. "Silicon Valley is still a great place to start a company, but it so expensive, especially the housing."

• Progress in the industry may also slow to the point where the number of transistors on a chip, which let designers increase performance and/or integrate new capabilities, double only every three to four years. Still, the industry has always blown past barriers in the past.

He also noted that some of the analogies from Moore's Law are a bit farfetched. Once, he extrapolated that if the car industry followed the same rules of progress, cars would get 100,000 miles per gallon, travel at millions of miles per hour and be so cheap that it would cost less to buy a Rolls-Royce than park it downtown for a day.

And as a friend pointed out, Moore said, "it would only be a half-inch long and a quarter-inch high."

Emerging Silicon and Non-Silicon Nanoelectronic Devices:
Opportunities and Challenges for
Future High-Performance and Low-Power Computational Applications
(Invited Paper)


ABSTRACT

Several key emerging nanoelectronic devices, such as Si nanowire field-effect transistors (FETs), carbon nanotube FETs, and III-V compound semiconductor quantum-well FETs, are assessed for their potential in future high-performance, low-power computation applications. Furthermore, these devices are benchmarked against state-of-the-art Si CMOS technologies. The two fundamental transistor benchmarking metrics utilized in this study are (i) CV/I versus LG and ii) CV/I versus ION /IOFF. While intrinsic device speed is emphasized in the first metric, the tradeoff between device speed and off-state leakage is assessed in the latter. For high-performance and low-power logic applications, low CV/I and high ION /IOFF values are both required. Based on the results obtained, the opportunities and challenges for these emerging novel devices in future logic applications are highlighted and discussed.

I. INTRODUCTION

According to Moore’s Law, the number of transistors per integrated circuit doubles every 24 months, and it has been the guiding principle for the semiconductor industry for over 30 years. The sustaining of Moore’s Law, however, requires continued transistor scaling and performance improvements. The physical gate length LG of the Si transistors used in the 90 nm logic generation node is ~ 50 nm. It is projected that transistor LG will reach ~ 10 nm in 2011. By way of innovation in silicon technology, such as strained-Si channels [1, 2], high-κ/metal-gate stacks [3–5], and the non-planar Tri-gate CMOS transistor architecture [6], CMOS transistor scaling and performance will continue at least until the middle of the next decade. Recently, a lot of interest generated has been generated and good progress has been made in the study of novel silicon and non-silicon nanoelectronic devices, including Sinanowire field-effect transistors (FETs) [7–11], carbon-nanotube FETs (CNTFETs) [12–18], and III-V compound semiconductor
quantum-well FETs (QWFETs) [19, 20], in the capacity of future computation applications. These devices hold promise as candidates for integration with the ubiquitous silicon platform in order to enhance circuit functionality while simultaneously enabling the extension of Moore’s Law well into the next decade and beyond. In this work, two fundamental device metrics, namely (i) CV/I versus LG and (ii) CV/I versus ION /IOFF, are used to benchmark these emerging nano-electronic devices vis-à-vis state-of-the-art Si CMOS transistors with regard to high-performance, low-power logic CMOS-like applications. These benchmarking metrics and
corresponding methodologies have previously been described in great detail [21, 22]. While the first metric highlights the intrinsic speed of devices, the latter permits an assessment of the tradeoff between device intrinsic speed and off-state leakage. Data from our own research devices and also from literature were used in this study. The merits and potential shortcomings of these emerging devices will be discussed. Figure 1 shows the images of some of the novel research transistors discussed in this work.

II. EMERGING P-CHANNEL NANOELECTRONIC
DEVICES

The room temperature CV/I versus LG comparison of conventional Si transistors, Si nanowire transistors, and CNT transistors with p-channels is shown in Figure 2. The data indicate that CNTs exhibit significant CV/I improvement when compared to conventional Si devices. This improvement is due primarily to the mobility enhancement in CNTs. By contrast, the CV/I characteristics of Si nanowire devices and conventional Si devices are similar. A recent report suggests that, fundamentally, there is no reason to expect Si nanowire transistors to have higher channel mobility than standard planar Si devices at room temperature [23]. For example, TCAD simulations have shown that phonon scattering increases, and



hence the phonon-limited mobility decreases, at room temperature for devices containing Si nanowires with diameters less than 15 nm [23]. Additionally, experimental studies reveal that while phonon scattering in Si nanostructures is suppressed at low temperatures, phonon scattering limited transport is indeed prevalent at room temperature, limiting effective channel mobility, as shown in Figure 3. Hence, at room temperature, Si nanowires with dimensions of interest for scaling do not exhibit transistor performance enhancement when compared to conventional planar Si architectures, as shown in Figure 2. The p-channel CV/I versus ION /IOFF characteristics of the CNTFET are shown in Figure 4. Included in this figure are data from conventional planar Si and non-planar Tri-gate Si transistors for comparison. Despite the observation that CNTFETs exhibit high intrinsic speed (CV/I) performance, as shown in Figure 2, they in fact suffer from a low ION /IOFF ratio. This low ratio is attributed to a high IOFF for the CNTFET, as shown in Figure 5, which in turn is due to the existence of ambipolar leakage [15, 21, 22]. The ambipolar leakage is a consequence of metal-CNT Schottky contacts, which are used instead of standard implanted or diffused p-n junctions. It is



anticipated that the use of standard p-n junctions will eliminate ambipolar leakage and improve the ION /IOFF ratios of CNTFETs. It is noted, however, that for high-performance and low-power logic applications, both low CV/I and high ION /IOFF values are required.

III. EMERGING N-CHANNEL NANOELECTRONIC
DEVICES

Figure 6 shows the room temperature CV/I versus LG comparison of conventional Si transistors, CNT transistors, and III-V (InSb) compound semiconductor QW transistors [19, 20] with n-channels. In comparison with conventional Si devices, InSb transistors exhibit significantly larger n-channel intrinsic speed (CV/I), a benefit of higher channel mobility and lower utilized supply voltage VCC (0.5 V). The increased channel mobility also translates to a highfrequency gain in InSb transistors, as shown in Figure 7 [20]. In this case, the dc CV/I data is directly correlated to the ac cutoff-frequency fT data. The n-channel CNT devices in Figure 6 all show degraded CV/I performance compared to conventional Si n-channel devices. This


phenomenon can possibly be explained by considering that a suitable n-type workfunction metal that forms a stable interface with CNTs has yet to be demonstrated. Upon resolution of this issue, a high performance n-channel CNTFET is anticipated based on the symmetry of the conduction and valence bands for CNTs [24]. In Figure 8, n-channel CV/I versus ION /IOFF characteristics are shown for CNTFETs with chemically-doped junctions [18] and for InSb QWFETs. Also shown, for the sake of direct comparison, are conventional planar Si and non-planar Tri-gate Si transistors. The use of chemically-doped junctions in CNTFETs [18], as opposed to metal-CNT Schottky junctions, suppresses the ambipolar leakage conduction and reduces IOFF, thus improving the ION /IOFF ratio. However, the resulting CV/I performance is still degraded, possibly due to increased external parasitic resistance of the doped junctions. Nevertheless, this chemically-doped junction approach is indeed a major advancement for CNTFET research [18]. Interestingly, the n-channel InSb QWFET also exhibits a low ION/IOFF ratio, as shown in Figure 8. This phenomenon is a consequence of high gate leakage, as exhibited in Figure 9, due to the low barrier height at the Schottky metal-semiconductorjunction. The low barrier height arises from (a) Fermi-level pinning at the metalsemicondctor



interface and (b) the use of a narrow-bandgap semiconductor. It is predicted that the use of a gate dielectric between the metal gate and the III-V device layers will eliminate such Schottky gate leakages and improve the ION /IOFF ratio [20].

IV. CONCLUSIONS

In this paper, we have identified the merits and potential shortcomings of various emerging nanoelectronic devices with respect to future logic applications. Specifically, we have shown that (a) Si nanowires offer no transistor CV/I performance advantage over conventional Si transistors at room temperature, likely due to the significant role played by phonon scattering at room temperature, and that (b) both p-channel CNTFETs and n-channel QWFETs exhibit impressive CV/I gain when compared against conventional Si transistors, but they suffer from degraded ION/IOFF ratios, a result of ambipolar conduction and Schottky gate leakage,respectively. Based on this study, we anticipate that upon solving the off-state leakage
problems, high-mobility devices such as CNTFETs and III-V QWFETs have the potential to enable high-performance logic applications with very low supply voltage VCC (e.g. below 0.5 V).

Bottom-up approach to silicon nanoelectronics

Bottom-up approach to silicon nanoelectronics

1. Introduction

Over the past few decades, the performance of siliconbased VLSI circuits has been steadily improved by scaling down device dimensions, and a nearly exponential growth of microelectronics capabilities has been achieved. However, maintaining this top-down miniaturization trend is becoming exceedingly hard due to fundamental physical and technological limitations as well as the economical limitation, although the InternationalTechnology Roadmap of Semiconductors (ITRS) now predicts that the physical gate length of high-performance MOSFETs will reach sub-10-nm in 2016. By contrast, the use of organic molecules as a building block for nanoscale devices has attracted much attention because the precisely controlled nanostructures may be formed cheaply by utilizing selfassembly of molecules. This bottom-up technology can potentially overcome the inherent problem of the present silicon top-down technology. The conductivity of the organic molecular structures is, however, still much lower than that of silicon as the electron transport along the single molecule is basically governed by hopping conduction. Silicon nanodots (SiNDs) and nanowires (SiNWs) [1–4] may provide a solution to these issues by meeting the requirements of both bottom-up organization and superior carrier transport properties. As those silicon nanostructures can be formed on non-Si substrates, such as glass and plastic, the Si-based bottom-up approach may lead to highperformance and large-area electronics. In addition, the zero- and one-dimensional nature of electronic states in the individual SiNDs and SiNWs realizes new electronic and photonic properties, which are not achieved with bulk silicon. Combining the bottom-up approach with the conventional top-down Si technologies enables us to explore silicon nano-, micro-, and macroelectronics on a common technical footing.

In this paper, we focus on the SiNDs in particular and present our recent studies on fabrication technique, unique electronic properties, and novel device applications.

2. Bottom-up silicon nanostructure fabrication

2.1. Formation of nanocrystalline silicon dots

For fabricating SiNDs, we have studied three different techniques. The first method is to use a very thin nanocrystalline (nc) Si film with the size of the grains down to a few nanometers. The nc-Si films can be formed either from an amorphous Si film with solid-phase crystallization
(SPC) or by using a very high frequency (VHF) plasmaenhanced chemical vapor deposition (PECVD) at a low temperature [5]. In the SPC films, the individual grains are usually columnar shaped, and the grain boundaries (GBs) between adjacent grains contain carrier trap states due to dangling bonds. By contrast, in the PECVD films, the individual grains are more spherical, and the GBs are formed by a-Si:H layers between grains. The second approach is to use porous Si [6] formed by using photoanodization of the Si substrate. The surface of the SiND islands formed in the substrate can be oxidized selectively by electrochemical oxidation. The formation of a linear chain of SiND islands with a diameter as small as 5 nm has been observed [7].

The most recent approach is a VHF plasma-enhanced deposition of silane (SiH4) with a hydrogen gas pulse sequence [8]. This technique facilitates separating the nucleation and crystal growth process and enables fabrication of single crystalline SiNDs (Fig. 1) with diameters less than 10 nm. The nucleated SiNDs are grown in the SiH4 plasma in the intervals between H2 gas pulses, and dot diameter is therefore determined by the growth time of the SiNDs in the plasma cell. Using this method, we fabricated SiNDs with diameters of around 8 nm and a very narrow
size spread (71 nm). Using a self-limiting oxidation process, it is possible to reduce the dot size further down to 4 nm. The interparticle tunnel barriers can also be formed by in situ oxidation or nitridation in a controlled manner.

2.2. Combining bottom-up and top-down approaches for fabricating nanoscale device structures

Integrating the fabricated SiNDs, either over a large area or in a local area, is a very challenging issue. Various techniques are currently under investigation, for example, the dispersion solution drop and evaporation method [9], the Langmuir–Blodgett (LB) method, and the nanotemplate
method. We also examined a novel method of fabricating nanoscale devices by conducting the self-assembly of the SiNDs on patterned nanoelectrodes [10,11]. For preparing the SiND dispersion solution, substrates with deposited SiNDs were soaked into solvents such as methanol or isopropanol, and the ultrasonic treatment was applied for a few tens of seconds. The SiND solution was then condensed by evaporating the solvent a fraction.

The nanoelectrodes were fabricated by using electron beam lithography on the heavily doped n-type silicon on insulator (SOI) substrate with a thickness of about 50nm and a buried oxide (BOX) thickness of 200 nm. This structure gives a good areal contrast of hydrophobic (Si) and hydrophilic (SiO2) surfaces and works as a template for the following SiND assembly process. We used the drop and evaporation technique [9] to assemble the SiNDs from the dispersion solution by using the lateral capillary meniscus force, which operates at the point where the three phases of the liquid, air, and SiND meet. Fig. 2 shows the SiNDs assembled on the SOI substrate with a patterned nanogap of about 35 nm. We observed that SiNDs remained only in the SiO2 regions and were assembled near the nanogap, resulting in a SiND channel between the
electrodes. This trend was observed in common over a large area. This method may be useful to fabricate the SiND-based nanoscale transistors, and we believe that it is possible to form a channel with few SiNDs or even a single dot by reducing the density of SiNDs and optimizing
evaporation conditions.

3. Electron transport properties of Si nanodots
3.1. Resonant tunneling via single Si nanodot

Strong quantum confinement effect in a SiND is the key to realize Si-based resonant tunnelingdevices. We



characterized tunneling current through a single SiND by using the contact mode AFM [12]. The SiNDs were sparsely distributed on the n-type [1 0 0] Si substrate with a surface density of 1.4 108 cm 2. For the cantilever, we used a silicon tip coated with gold. We performed contact-
AFM scanning with 1 1 mm2 scan area to generate the topography image. The AFM measurement was performed by selecting a single SiND from the topographical view. I–V characteristics were measured for a single SiND at room temperature [11]. Fig. 3 shows the typical I–V curve observed when the sample was positively biased from 0 to 4.5V. Negative differential conductance (NDC) was clearly observed at a bias voltage of about 1V, and the peak-to-valley (P/V) current ratio was as large as about 17. As SiND-based resonant tunneling structures can be integrated with conventional MOSFETs, the observed multiple NDC characteristics may be used for making Si-based novel functional devices such as tunnel-based SRAM and resonant tunneling transistors.

3.2. Coulomb blockade and electron interaction in coupled
double Si nanodots

Charge quantization and Coulomb blockade have also been studied intensively for SiNDs. Coulomb oscillation of tunneling current has been observed at room temperature by using point-contact single-electron transistors (PCSETs) with very few SiNDs in the channel. Electrostatic
and coherent coupling effects have also been studied recently for strongly coupled double SiNDs by using PC-SETs fabricated on an nc-Si thin film. The PC-SETs with a very small channel, with 30 30 nm2 in lateral dimensions, were formed on a 40-nm thick nc-Si film with a lateral grain size of 20–25 nm. The electrostatic potential on the grains is controlled via the bias applied to two side gates. The PC-SETs exhibited delocalization of the electron wavefunctions over the coupled double dots via a very thin tunnel barrier. A plot of the device conductance at 4.2K as a function of the two side gate voltages shows singleelectron conductance peaks, which partially form an electron stability diagram for two charging dots (Fig. 4).

The peak lines in this plot (white dotted lines) show strong splitting (a dotted circle) caused by electrostatic

interactions when the energy levels in the two dots are in resonance [13]. In this strong coupling region, we observed that the characteristics are decomposed into four Lorentzian peaks: two main peaks with two small peaks (Fig. 4; right figure) [14]. This is attributed to the tunnel coupling across two adjacent double dots, resulting in bonding- and anti-bonding-like resonance peaks. Tunnel splitting obtained from the peak separation is about 0.4 meV, which is from a few times to an order-of-magnitude larger than the value reported previously in GaAs/AlGaAs quantum dots. Such quasi-molecular states may be used to realize a Si-based charge qubit.

3.3. Phononic band formation in Si nanodot array

Silicon and SiO2, the key players in the present VLSIs, now combine in a different way to offer new functional applications in electronics and mechanics. Electron transport properties of the SiNDs interconnected with thin oxide layers have recently attracted growing attention due to the experimental observation of ballistic electron emission [15] as well as the theoretical study of phonon depletion [16]. The electronic and phononic states have been recently investigated for a one-dimensional array of SiNDs interconnected with thin oxide layers as shown in Fig. 5. This acoustic heterostructure has a wide variety of interesting features such as phonon bandgap and phonon confinement. Changing the thickness of the oxides controls the energy range of the bandgap. It is also interesting to note that the energy dispersion for high-energy phonons is
flat. Such phonons are confined in SiNDs.

Another interesting feature of this structure is the reduction of the electron–phonon scattering potential, which is written as Hel2phðxÞ ¼ DacoqSðxÞ=qx where S(x) and Daco denote phonon wave function and the coupling constant, respectively. The first derivative of S(x) is also
known as the strain. Fig. 6 compares the strain in the acoustic heterostructure (circles with solid line) and conventional Si (broken line). Note that the oxide layers ‘absorb’ the strain from the SiNDs [17]. This is reasonable because the oxide layers are ‘softer’ than SiNDs (the Young’s modulus of Si and oxide are 180 and 70 GPa,


respectively). As the coupling constant Daco in the oxide is smaller than that in Si, the strain absorption effect reduces the scattering potential over the entire region compared with that of Si. It was shown theoretically [18,19] that the electron energy loss rate is suppressed significantly in the vicinity of the miniband bottom energy.

4. Novel functional device applications based on silicon
nanodots

Based on the new properties shown in Section 3, we have pursued various device applications such as ballistic electron emission devices, light-emitting devices, singleelectron transistors [20], SiND memory with a long data retention time [21], and a nonvolatile nanoelectromechanical
system (NEMS) memory. Two unique device applications are introduced in the following sections.

4.1. Ballistic electron emission device

As one of the promising applications of the SiNDs, we investigated the SiND-based electron surface emitter [22]. Unique phonon properties of the array of SiNDs covered with SiO2, shown in Section 3.3, the lead to ballistic electron emission phenomenon. An electron emitter device was fabricated by using a 150-nm-thick layer of Si nanodots deposited on n+-Si substrate and a very thin Au top electrode (Fig. 7). When the voltage is applied across the SiND layer, only electrons with energy higher than the Au work function WAu of 5 eV are emitted into vacuum through the top electrode. Both diode and emission currents were measured as a function of the extraction voltage Vex, and the emission efficiency could be as large as 5%. We also investigated the energy distribution of emitted electron by using a conventional ac-retarding-field analyzer.

As shown in Fig. 8, we found that the energy distribution of the emitted electrons is non-Maxwellian in contrast with those observed for conventional cold emitters. Maximum electron energy agrees approximately with eVex WAu, and the peak energy varies in proportion to Vex. These results show that fractional electrons travel throughout the SiND layer in a quasi-ballistic manner and emit into vacuum.

5. Conclusion

We have studied SiNDs as a promising building block for Si nanoelectronics. SiNDs with diameters as small as 5 nm were fabricated by using VHF plasma CVD to achieve an interface tunnel layer thickness of 1 nm. In addition, the SiND assembly technique was successfully combined with the nanofabrication technique to build nanoscale transistors with SiNDs as a channel. A variety of new functions were found for SiNDs: ballistic electron emission, single-electron charging effects, and quantum mechanical coupling between two adjacent dots, which
have never been observed before in bulk silicon. Based on these unique material properties, we have explored various novel device applications such as a ballistic surface electron emitter and a high-speed and nonvolatile nanoelectromechanical memory. An innovative fusion of top-down and bottom-up silicon technologies may lead to a highperformance and low-cost material platform for macro-, micro-, and nanoelectronics.

PROSPECTS OF SILICON
NANOELECTRONICS


Abstract
Silicon technology and nanoelectronics are two important components which will shape the future of the IC industry. This paper discusses their mutual interaction, i.e. the contribution that nanotechnology may o er to the evolution of MOS processing, as well as the role that silicon technology could play in the projected development of nanoelectronic circuits.

1 Introduction
The latest version of the SIA roadmap, published in 1994 [1], has triggered a conceptual breakthrough by introducing for the rst time the prospect of nanoelectronics in the strategic planning of the microelectronics industry. Indeed, notwithstanding the scepticism of some observers, the SIA is con dent that the IC trends will continue to obey Moore's law until the year 2010, leading to MOS transistors with 0.07 m (i.e. "nano"scale) gate lengths (a new version of the roadmap, due later this year, will probably be even more aggresive). After this date there is a general feeling that evolutionary strategies based on Moore-like projections will gradually run out of power, leaving room for several - mostly divergent - alternatives. A major di culty in forecasting such matters arises from the fact that some technologies are essentially scale-bounded, whereas others only de ne scaling limits in a relative sense. For example, there can be no doubt that, with shrinking dimensions, a MOS transistor will ultimately cease to operate as a proper eld-e ect device [2]. Although the scale at which this will practically happen may be debatable, the existence of well-de ned physical limits to transistor operation is an unavoidable fact. On the other hand, the technical limit to interconnect complexity is much harder to de ne. Adding layer upon layer of wiring will prove increasingly impractical, but the burden could be shifted to other areas e.g. by placing some interconnect levels on the package substrate or developing novel schemes for circuit architecture and / or lay-out [3].

2 Nanoelectronics along the roadmap

Ever since the pioneering work of Sai-Halasz et al. [4], the physics of sub-0.1 m devices has been under close investigation. Particular attention has been given to the possible occurence of new physics such as ballistic transport, tunneling e ects or quantum interference. Evidence for ballistic behaviour of electrons comes mainly from Monte-Carlo simulations e.g. of 30 nm channel length devices with dual gate geometry [5]. The experimental picture is less clear, as the observation of velocity overshoot strongly depends on biasing conditions [6]. Tunneling-dominated operation has been observed by Harstein in the I-V characteristics of sub-0.01 m (!) devices [2] which can hardly be called eld-e ect transistors. Quantum interference e ects seem to be limited to quantum wire devices operating at very low temperature [7]. The generally accepted picture of a nanoscale MOSFET is presently that of a classical device with increased VT
uctuations and subthreshold leakage as the main performance limiting factors [8]. For this reason, we face the paradoxical situation that the most promising nanoelectronic device has in fact drawn very little attention from the nanoelectronics research groups ! However, this situation may change as new design concepts propose to change rather drastically the geometry of these transistors in the future. Introducing both SiGe epitaxial structures and vertically etched channels will bring both the structure and the physics of ultra-small MOSFETs closer to a well-known nanoelectronic paradigm such as the quantum dot [9]. SiGe quantum dots are currently investigated, both for optical and for switching applications [10].

Some of the background information already available should be of interest to advanced MOSFET designers, especially concerning the link between carrier transport and device technology. Further on the processing side, we may expect a gradual intake of nanotechnology features into three areas of mainstream IC fabrication nl. deposition, lithography and dry etching. However, in most cases this in uence should be inspirational rather than based on direct transfer. For example, the favourite epitaxial technique in nanoelectronic research is MBE, buth in IC fabrication CVD methods are preferred, both for higher throughput and larger wafer sizes. The materials background however remains the same, and the available expertise should be of use to future process developers. In lithography, the situation is more complex and has recently been reviewed by Broers [11]. E-beam direct write is the most popular nanolithography technique, but in spite of several ongoing e orts [12-14], it still lacks a high throughput approach suitable for large-scale manufacturing. For the same reasons, Scanning Probe Lithography remains on the far future horizon, although the technique is nding a short term niche as an advanced imaging and metrology tool [15]. In dry etching, the micro- and nanoelectronics practices are closely similar. There is a common equipment base and most processes only require limited tuning to geometry and scaling conditions. However, mesoscopic structures usually involve substrate etching only, which up to now has not been a critical issue in IC processing. This may change since the introduction of vertical geometries will put Si and SiGe patterning in a central perspective, making the available experience (especially on the limitation of etch damage) even more relevant.

At the packaging level, a shift to cryogenic temperatures has become a serious issue since ultra-small MOSFETs will exhibit unacceptable room temperature dissipation currents in the o -state due to subthreshold leakage [16]. Operation at low temperatures is a common feature of most nanoelectronic devices, and considerable experience on this matter is already available. However, the cryogenic option may limit the use of the nano-MOSFET technology to large-scale systems with integrated cooling units, unless progress in microcooling tools and cryopackages would allow inexpensive cooling at the chip level.

3 Nanoelectronic devices o the road

Turning to a broader scene, one might wonder what role silicon could play in the hypothetical quantum age which is supposed to dawn after the CMOS roadster will have reached the end of its journey. The tentative answer is that silicon has also the best chances of becoming the semiconductor material of the nano-world. Indeed, although the latter has been traditionally a stronghold of III-V compounds, there are today numerous examples of nanostructures based on Si-related materials [17]. Recent technical developments including SiGe heterojunctions as well as various kinds of nanocrystalline silicon (cfr. porous silicon !) have greatly extended the scope of thinkable applications. Both SiGe/Si and SiO2/Si interfaces can be used for quantum well and superlattice formation, and in this context they also play a central role in the search for light emitting silicon structures [18, 19]. With respect to logic and memory circuits, silicon is already the favourite material candidate for single electron devices and may also provide a technological base for future resonant tunneling circuits.

3.1 Single electron transistors (SET)

Any electron device, whatever its material or architecture, will become sensitive to single electron charging e ects when scaled down to su ciently small dimension. Coulomb blockade is indeed a thermodynamic e ect depending on the ratio of the charging energy of the device vs. kT. The rst succesful single electron semiconductor device operating at room temperature was essentially a MOS transistor containing oxide coated nanocrystalline Si grains deposited by CVD [20]. However, the present poly-Si technology is impractical for SET circuit fabrication because of large size uctuations in the deposited crystallites. Several techniques are under development which could in principle deliver much more uniform particle sizes, e.g. by using size ltering set-ups or size-selected precipitation [21]. The only lithographic alternative which could produce room temperature devices is the Scanning Probe Lithography for patterning the conductiong islands and tunneling barriers. This has indeed been attempted, e.g. using anodic oxidation of titanium with an STM tip to create a 30 nm 35 nm Ti island isolated by TiOx barriers on a SiO2/Si substrate [22].

The future of SETs is still an open question. The scale of the devices presently available for logic or memory circuits requires their cooling to liquid helium temperature or lower. Although higher temperatures could in principle become practical by further downscaling, it has been questioned on theoretical grounds whether room temperature operation may be possible at all [23]. Moreover, the very nature of correlated single electron tunneling requires isolation barriers around the islands to be on the order of the quantum resistance (25k ), making those devices rather slow for switching applications. In addition, the SET has very little gain, making it di cult to interface with the outer world. Therefore, the most realistic strategy, providing the huge technological di culties can be overcome, would be to use the SETs for multi-terabit memory elements in combination with MOS read/write circuitry.

3.2 Resonant tunneling devices

Although the description of correlated single electron tunneling makes use of standard quantum tunneling theory, the man feature of this e ect is that of charge quantization, so that it still belongs to the "pre-Schrodinger" era. On the other hand, many other devices have been proposed and some even put to work by exploiting genuine wavemechanical features of the electron. They now form a set which is often dubbed as "quantum functional devices". The most mature of these components is the resonant tunnelling diode (RTD), which through the years has given rise to an important eld of research in mesoscopic physics. The RTD o ers promises for very high frequency current sources as well as for compact memory/logic cells due to its multistability. Another asset of this device is its potential to operate at room temperature without the need for nanoscale patterning. Like all two-terminal devices however, its major disadvantage is the lack of signal regeneration. A combination of RTDs with classical transistors such as MOSFETs or MODFETs is therefore desirable to increase the fan-out.

Most of the work on RTD's is based on III-V materials, but the possibility to use Sibased epitaxial layers has also been demonstrated [24]. At this point however, there is a large gap between the GaAs based technology, in which a variety of circuit demonstrators have been produced going from SRAM cells to logic gates, and the SiGe realizations which are still limited to individual devices. The main problem for logic applications is the strain management [25], since high Ge concentrations (up to 40increase the tunneling barrier height su ciently for RT operation. This would require new developments in the so-called "virtual substrate" technology or else one may have to satisfy oneself with 77K operation. Moreover, the realization of large-scale circuits is stumbling against the extremely tight geometrical control wich is required to limit the spread of electrical parameters, espaecially VT . With respect to memory applications, the prospects for RT memory circuits based on SiGe RTDs are de nitely better than with SETs.

3.3 Other devices

Another class of devices which has recently attracted some interest, especially in Japan, is that of quantum interference devices. These components rely on the phase coherence of electron waves when travelling ballistically through a mesoscopic conduction channel. Controlling the interference condition (constructive or destructive) using e.g. the Aharonov-Bohm e ect should allow to emulate transistor switching with unrivalled power/delay products [26]. Quantum interference has indeed been detected in lowdimensional structures fabricated on III-V heterojunction substrates [27]. More recently, the observation of Aharonov-Bohm e ects in Si/ SiGe structures has also been reported [28]. To achieve ballistic transport over mesoscopic distances, cooling to very low temperatures (typically below 4K) is required in order to suppress inelastic phonon scattering. For this reason, device concepts based on quantum interference have not been very popular in the West and their prospects for industrial implementation are virtually non-existent.

4 A European roadmap for nanoelectronics ?

The spectacular advances of nanotechnology together with the strong prospective push created by the latest versions of the SIA roadmap has created a climate of uncertainty over the long-term future of microelectronics. If the technological endpoint of CMOS will indeed be reached within the next 15 - 20 years, what will come next ? There is a general feeling that more action is needed to shape the future, and that the time to act has come now. It is therefore not surprising that new nanoelectronics initiatives have recently been launched in the three major industrial areas of the world. In Europe, the ESPRIT Long Term Research programme is now hosting the so-called "Advanced Research Initiative" on microelectronics. This action consists of ve projects in the optoelectron ics and nine projects in the nanoelectronics eld (more information can be found on the ESPRIT website). Projects in each branch are clustered to improve coherence and cross-linking between the individual consortia. It is interesting to notice that MOS is the single technology most frequently represented in the nanoelectronics cluster, where it will be compared with alternatives based on molecular electronics, magnetic materials and superconductive devices. The programme should also result in the proposal of a European Roadmap for nanoelectronics, which will evaluate the prospectives o ered by the various technologies on a tentative timescale.

Whatever its nal version will be, it is clear that the new roadmap must consider the possibility that the future of electronics may not belong entirely to the semiconductor family. This, in fact, is not a new situation. After all, vacuum tubes have already shown us a long time ago that the basic electronic material need not be a semiconductor! Since none of the presently available nano-components seems to o er the same promise of universality that CMOS is now enjoying, we may end up with a set of niches in which dedicated technologies will perform speci c functions for which they are best suited. Providing, of course, that this specialization would not contradict the universal laws of industrial economics . . .

REFERENCES

1. The National Technology Roadmap for Semiconductors (published by the Semiconductor Industry Association), 1994
2. A. Hartstein, Extended Abstracts of the 1992 International Conference of Solid State Devices and Materials (Tsukuba 1992), p. 481
3. G. A. Sai-Halasz, Proceedings of the IEEE 83, 20 (1995)
4. G. A. Sai-Halasz, M. R. Wordeman, D. P. Kern, S. A. Rishton, E. Ganin, T. H. P. Chang and R. H. Dennard, IBM J. Res. Dev. 34, 452 (1990)
5. D. J. Frank, S. E. Laux and M. V. Fischetti, Proceedings of IEDM 92, 553 (1992)
6. T. Mizuno and R. Ohba, Proc. IEDM 1996, 109
7. M. Ono, M. Saito, T. Yoshitomi, C. Fiegna, T. Ohguro and H. Iwai, Proceedings of IEDM 93, p. 119 (1993)
8. Y. Taur, Y-J. Mii, D. J. Frank, H-S. Wong, D. A. Buchanan, S. J. Wind, S. A. Rishton, G. A. Sai-Halasz and E. J. Nowak, IBM J. Res. Dev. 39, 245 (1995)
9. See e.g. "The quantum Dot : A journey into the future of microelectronics" by R. Turton (W. H. Freeman, Oxford 1995)
10. A. O. Orlov, X. Zuo, G. Bazan, G. H. Bernstein, C. S. Lent, J. L. Merz, W. Porod and G. L. Snider, paper presented at the Silicon Nanoelectronics Workshop (Honolulu, june 1996)
11. A. N. Broers, FED Journal VOL. 6 Suppl. 1, 15 (1995)
12. O. Fortagne, P. Hahmann and U. Staufer, Microelectronic Engineering 27, 151 (1995)
13. T. H. P. Chang, D. P. Kern adn L. P. Muray, J. Vac. Sci. Technol. B10, 2743 (1992)
14. C. W. Lo, M. J. Rooks, W. K. Lo, M. Isaacson and H. G. Craighead, J. Vac. Sci. Technol. B13, 812 (1995)
15. J. E. Gri th, H. M. Marchman, G. L. Miller and L. C. Hopkins, J. Vac. Sci. Technol. B13, 1100 (1995)
16. G. A. Sai-Halasz, Proceedings of the IEEE 83, 20 (1995)
17. Y. Hirai, K. Morimoto, K. Yuki, M. Niwa, K. Idota and K. Morita, in Proceeedings of the 14th international symposium on future electron devices (FED report 145), Tokyo, October 1995
18. Y. S. Tang, W.-X. Ni, C. M. Sottomayor-Torres and G. V. Hansson, Elec. Lett. 31, 1385 (1995)
19. Z. H. Lu, D. J. Lockwood and J.-M. Baribeau, Nature 378, 258 (1995)
20. K. Yano, T. Ishii, T. Hashimoto, T. Kobayashi, F. Murai and K. Seki, IEEE Trans. El. Dev. 41, 1628 (1994)
21. W. L. Wilson, P. F. Szajowski and L. E. Brus, Science 262, 1242 (1993)
22. K. Matsumoto, M. Ishii, K. Segawa and Y. Oka, in Extended Abstracts of the 2nd InternationalWorkshop on Quantum Functional Devices, Matsue (Japan) May 1995 (FED Report 143) p. 90
23. A. N. Korotkov, R. H. Chen and K. K. Likharev, J. Appl. Phys. 78, 2520 (1995)
24. K. Ismail, B. S. Meyerson and P. J. Wang, Appl. Phys. Lett. 59, 973 (1991)
25. A. Zaslavsky, K. R. Milkove, Y. H. Lee, B. Ferland and T. O. Sedgwick, Appl. Phys. Lett. 67,3921 (1995)
26. S. Bandyopadyay, M. R. Melloch, S. Datta, B. Das, J. A. Cooper and M. S. Lundstrom, Proceedings of IEDM 1986, p. 76
27. H. van Houten, FED Journal 2 (Suppl.), 4 (1992)
28. W. X. Gao, K. Ismail, K. Y. Lee, J. O. Chu and S. Washburn, Appl. Phys. Lett. 65, 3114 (1994)

Architectures for
Silicon Nanoelectronics
and Beyond

Although nanoelectronics won’t replace CMOS for some time, research is needed now to develop the architectures,methods, and tools to maximally leverage nanoscale devices and terascale capacity.Addressing the complementary architectural and system issues involved requires greater collaboration at all levels.The effective use of nanotechnology will call for total system solutions.

The semiconductor industry faces serious problems with power density, interconnect scaling, defects and variability, performance and density overkill, design complexity, and memory bandwidth limitations. Instead of raw clock speed, parallelism must now fuel further performance improvements, while few persuasive parallel applications yet exist. A candidate to replace complementary metal-oxide semiconductors (CMOS), nanoelectronics could address
some of these challenges, but it also introduces new problems.

Molecular-scale computing will likely allow additional orders of magnitude improvements in device density and complexity, which raises three critical questions:

* How will we use these huge numbers of devices?
* How must we modify and improve design tools and methodologies to accommodate radical new ways of computing?
*Can we produce reliable, predictable systems from unreliable components with unpredictable behavior?

The effective use of nanotechnology will require not just solutions to increased density, but total system solutions. We can’t develop an architecture without a sense of the applications it will execute. And any paradigm shift in applications and architecture will have a profound effect on the design process and tools required. Researchers must emphasize the complementary architectural and system issues involved in deploying these new technologies and push for greater collaboration at all levels: devices, circuits, architecture, and systems.

WHAT IS NANOARCHITECTURE?

We define nanoarchitecture as the organization of basic computational structures composed of nanoscale devices assembled into a system that computes something useful. Nanoarchitecture won’t provide superior computing ability for many applications or algorithms, but will enable radically different computational models. Since architecture is rarely created in a vacuum, these issues will greatly effect nanoarchitecture development. There are two paths to follow: evolutionary and revolutionary.

Evolutionary path

Silicon semiconductor technology will continue to shrink. But there’s an increasing performance gap between device technology and its ability to deliver performance in proportion to device density. Performance, in terms of millions of instructions per second per watt, isn’t keeping up with the increase in millions of devices per chip.There’s also a gap between device density and our ability to design new chips that use every device on the chip and guarantee they’re designed correctly.Power consumption and heat dissipation present additional challenges. The semiconductor industry is investing tremendous effort in finding solutions as we move down this evolutionary path, but it’s increasingly difficult to design, fabricate, and test solutions.

Revolutionary path

Knowing the end of Moore’s law scaling is in sight for traditional silicon technology, many have embarked on revolutionary nanoelectronics research. Researchers are studying carbon nanotube transistors, carbon nanotube memory devices, molecular electronics, spintronics, quantum-computing devices, magnetic memory devices, and optoelectronics— technologies addressed in the emerging devices section of the 2005 International Technology Roadmap for Semiconductors (www.itrs.net/Links/2005ITRS/ ERD2005.pdf). Unfortunately, we won’t use many of these devices until it’s absolutely necessary to consider a replacement technology. So, how should we use these revolutionary nanoelectronic devices in the interim, especially when these devices haven’t demonstrated sufficient reliability and large enough signal-to-noise ratio to guarantee reliable digital computation?

RELIABLE SYSTEMS WITH UNRELIABLE DEVICES

In addition to massive CMOS-scaling efforts, many researchers are pursuing molecular, optical, or quantum devices that they could integrate with CMOS-based digital logic to produce hybrid systems. While there’s no consensus yet about which hybrids will enter production, future nanodevices will certainly have high manufacturing-defect rates. Further, we expect them to operate at reduced noise margins, thereby exposing computation to higher soft-error rates. For non-CMOS nanoscale electronics, operation uncertainties originate in the devices’ inherent stochastic switching behavior. Finally, devices will have more process variability—and thereby more nonuniform behavior across a chip—so circuits must be more robust to this process variation to prevent unacceptable yield loss.


Power density and energy cost are the mail design bottlenecks for CMOS nanoscale technology. Adding redundancy to increase error resilience eventually increases design complexity, decreasing energy efficiency and compromising density advantages. Granularity of the fault tolerance is also important. Some redundant techniques improve yield with small cost increases, such as providing spare cache lines to substitute for defective hardware. Others, such as macro-redundancy in the form of triplicate voting schemes, are much more expensive. While nanoscale devices have the advantage of low power, particularly if switching is accomplished withouT physically moving significant amounts of charge in space, nanoarchitectures will most likely have huge complexities, driven by application needs and the redundancy required to enable fault tolerance. Low-power nanodevices are intrinsically error-prone because thermal Fluctuations can easily switch devices across the lowenergy barrier separating different logic states.
Temporal and hardware redundancy have traditionally resolved high fault rates, as Figure 1 shows. The unpredictability in confirmation completion and the worst-case hardware overhead require reliable hybrid architectures, necessitating exploration of speculation and adaptivity to ensure correct computation at low hardware and time costs.

High-level issues

Researchers must address several high-level issues in the search for revolutionary architectures for building reliable computers from unreliable devices.


Defect and fault rates. Devices designed in the nanoregime create different problems than those with current VLSI technology. In particular, defect and fault rates, as well as process variability, were never considered “show stoppers.” At the nanoscale level, however, high defect rates and variability will be first-order design considerations, not merely add-ons to previously established design objectives. Most effective, novel design approaches must incorporate redundancy at several levels of abstraction. Synergy between levels. There must be a tight synergy between levels of technology abstraction, which might require passing on more design information from one level of abstraction to the next. Although this might lead to more complex designs, it’s required for achieving an appropriate level of reliability.

Well-designed interface. Since this work is interdisciplinary, researchers must clarify interfaces between various levels of abstraction during the tool-development process. Researchers need to understand expectations among different groups before developing a well-defined interface. Exploring potential. Research in nanoarchitectures for revolutionary computing models will lead to new ways of exploiting the potentials of nanotechnology and nanoelectronics. Reliability issues will cut across both active device and interconnect design levels and might require regular topologies to enable amortization of reliability overhead. Figure 2 shows the fundamental opportunities and attributes in nanoelectronics that will shape design approaches at various levels of abstraction.

Devices and circuits

Computation with nanoscale devices implies computing close to the thermal limit. At this point, computation becomes probabilistic in nature. Along with \ault modeling, analysis, and propagation, evaluating these systems’ probabilistic behavior requires more theoretical work. Borrowing ideas from stochastic system analysis might be useful here. Researchers need to develop new computational paradigms that take probabilistic implementationinto account. It’s still uncertain how much and what kind of noise nanodevices will encounter in real operation. As researchers develop these devices, we’ll get a better sense of their behavior. Nevertheless, researchers must base nanoscale architectures on information obtained from modeling and analyzing real nanodevices so that they’re making appropriate assumptions about noisy behavior. Another important issue concerns the degree to which the application itself can tolerate hardware faults, incorrect operations, and so on. Being absolutely fault free is significantly more expensive than allowing a small number of faults to be visible at the software level. Circuit designers have relied on different logic styles to obtain area, delay, or power advantages. Due to the nature of molecular-scale circuitry, designers need to add a new constraint—reliability—to the optimization equation. We need comparative studies to assess the reliability of these different logic styles and analyze how the reliability of these styles might change as devices shrink to the nanoregime. One approach is to combine reliable elements with unreliable devices, such as hybrid CMOS/nanodevice circuits. Researchers have proposed several such approaches.

Architecture

Examining the need for new architectures requires an understanding of the applications the architecture will execute and evaluating existing architectures’ limitations. While the goal is to design reliable, cheaper, and better-performing architectures built from hybrid nanoelectronic
circuitry, it’s not clear what aspects of current architectures will present the most serious constraints in reaching this goal. For example, how will interconnect and memory bottlenecks limit the ability to handle high fault and defect rates? Are random technology layouts becoming less desirable as a “fabric” for handling defective devices? Although they’ve been tried several times over the years, asynchronous self-timed circuits and logic have limited use. Synchronous circuit techniques have always been more cost-effective and have design inertia and tools on their side. But slow signal-propagation times might bring this era to an end in the nanoscale regime.

Researchers must explore asynchronous designs as a means of simplifying global communication and power issues. A globally asynchronous, locally synchronous (GALS) design approach might be the best way to take advantage of synchrony problems between blocks of nanoscale circuitry. However, GALS and asynchronous designs aren’t without theirown challenges. Such designs might increase the number of wires, and random noise will be more disruptive. In addition, such challenges become more involved once we consider faulty connections and devices. The design of
fault-tolerant asynchronous hardware is largely unexplored. Ultimately, successful integration of asynchronous designs in future nanoscale architectures will depend on which technologies are viable.

Plausible bottom-up fabrication techniques have demonstrated the feasibility of two-terminal nanodevices for computing applications. Consequently, several approaches to nanoelectronic device architectures have explored ways to leverage two-terminal nanodevices. While the relatively low functionality of two-terminal devices limits circuit architectures, further research
can explore its potential for computing applications. It’s possible to build dense regular structures such as logic and memory arrays, which might be the best way to use two-terminal devices when nanodevices first achieve commercial viability.

Reliability theory. Reliability theory has traditionally investigated bounds on system behavior based on simplified assumptions. For example,

*all gates have the same probability of failure,
*only gates fail (and not connections),
*only stuck-at-faults are considered, or
*faults aren’t state dependent.

Although simplistic, these assumptions have let designers reasonably approximate expected system behavior. On the other hand, these same assumptions might lead to flawed conclusions about expected behavior for systems built from molecular circuits. We need more realistic characterization of the nature of faults at the molecular scale, as well as an understanding of how faults might manifest themselves in terms of logical and system behavior. We need new fault models for both gates and wires. And researchers should review traditional theoretical results using these new fault models. We need to identify and optimize algorithms for automating such computations, since they’ll be essential in developing fault-tolerant circuits and CAD tools
for reliability estimation.

Computational theory. To build effective architectures for reliable computation, we must consider several



issues at various levels of abstraction. At the highest levels, we need to explore new models of computation and information representation. Current approaches to data representation might no longer be viable when a system has widespread static and dynamic faults and noise. Consequently, we need to understand issues involved in adding reliability at different levels of abstraction. Standard and innovative hybrid techniques might be appropriate at different levels of abstraction.

Figure 3 shows a rough impedance match for high faultrate regimes such as nanoelectronics. Allowing fault tolerance to operate at different levels of abstraction might allow for a more cost-effective design. Furthermore, developers can hierarchically implement error detection and correction at various levels of abstraction, as well as represent data using error-correction codes. Hierarchical techniques can also provide avenues for handling fault clustering cost-effectively. We should consider security in parallel with reliability since these two issues might share similar solution spaces.

Fault/defect management. Reliability concerns an entire system with contributions from all levels. Once researchers develop fault models, they must conduct probabilistic analyses of the models. Detecting the faults requires incorporating an effective test-design methodology into the architecture. Another open area of research deals with the testing of fault-tolerant-based circuits. The reconfiguration or sparing process should be part of defect testing. Handling transient and intermittent faults will require runtime monitoring to detect these soft errors, along with prediction and recovery schemes. Given the high error rates, it might be more economical to borrow coding techniques from the communications community rather than building in massive redundancy or reconfigurability. However, the design ultimately will need both error-correction codes and


redundancy/reconfiguration if minimum area is the goal. Blending the two approaches and achieving the gradual transition from brute-force redundancy at the very low level to ECC at higher levels of design abstraction will be challenging.